A R H I T E K T U R A M I K R O S I S T E M A. - Materijal za pripremu ispita - SMER: Elektoronska kola i sistemi, IV semestar Godina: 2006

Size: px
Start display at page:

Download "A R H I T E K T U R A M I K R O S I S T E M A. - Materijal za pripremu ispita - SMER: Elektoronska kola i sistemi, IV semestar Godina: 2006"

Transcription

1 A R H I T E K T U R A M I K R O S I S T E M A - Materijal za pripremu ispita - SMER: Elektoronska kola i sistemi, IV semestar Godina: 2006

2 S A D R Ž A J 1 Projektovanje digitalnih sistema Stilovi projektovanja Standardna digitalna integrisana kola Programabilne logičke komponente ASIC IC potpuno po narudžbi Standardne ćelije Gejtovska polja Metodologije projektovanja Domeni projektovanja Nivoi apstrakcije Y dijagram Primer Tok projektovanja VHDL Uvod Struktura VHDL kôda Stilovi projektovanja Tipovi podataka Objekti podataka Predefinisani tipovi podataka Korisnički tipovi podataka Podtipovi Polja Polja portova Označeni i neoznačeni tipovi podataka Konverzija podataka Operatori i atributi Operatori Atributi Korisnički-definisani atributi Preklapanje operatora GENERIC Primeri Pregled operatora i atributa Konkurentni kôd Konkurentni i sekvencijalni kôd Konkurentna naredba dodele WHEN GENERATE Sekvencijalni kôd PROCESS Signali i varijable IF WAIT CASE LOOP Sekvencijalni kôd za kombinaciona kola Leč kola i flip-flopovi Signali i varijable CONSTANT SIGNAL VARIABLE Poređenje signala i varijabli

3 2.6.5 Sinteza registara Konačni automati Kôdni šablon # Konačni automati Murovog tipa Konačni automati Milijevog tipa Kôdni šablon # Kodiranje stanja Algoritamske mašine stanja Memorijske komponente Paketi i komponente PACKAGE COMPONENT PORT MAP GENERIC MAP GENERATE naredba u strukturnom opisu Funkcije i procedure Funkcije Pozicija funkcije Procedure Pozicija procedure Poređenje funkcija i procedura ASSERT RTL projektovanje Projektovanje odozgo-naniže Opis ponašanja Interfejs Konverzija algoritma u ASM dijagram ASM dijagrami Milijevog tipa Razrada Realizacija Komponente digitalnih sistema Kombinacione komponente Dekoderi Multiplekseri Demultiplekseri Koderi Komparator magnitude Kombinacioni pomerači i rotatori Aritmetička kola Sabirač sa rednim prenosom Brzi sabirači Logička jedinica Aritmetičko-logička jedinica Množači Specifični formati za predstavljanje brojeva Sekvencijalne komponente Flip-flopovi Registarske komponente

4 1 Projektovanje digitalnih sistema Osnovna tema ovog kursa je proučavanje tehnika projektovanja digitalnih sistema. Na Sl. 1-1 prikazana je mapa koja ukazuje na međuzavisnosti i uslovljenosti različitih teorijskih osnova, tehnika i metoda koje čine osnovu projektovanja savremenih digitalnih i računarskih sistema. Osenčena oblast na Sl. 1-1 ukazuje na materiju obuhvaćenu ovim kursom. Uopšteno govoreći, projektovanje digitalnih i računarskih sistema kreće od fizike čvrstog stanja i tehnoloških postupaka za fabrikaciju poluprovodničkih integrisanih kola, koji određuju tipove i osobine većine osnovnih elektronskih komponenti, kao što su tranzistori, otpornici i kondenzatori. Ove komponente se zatim povezuju u digitalna ili analogna kola, shodno osnovnim zakonima elektronike. Digitalna kola procesiraju signale koji mogu imati samo mali broj fiksnih vrednosti, obično dve, što ih čini robusnim i lakim za projektovanje. Ove dve osobine su glavni razlog zbog koga se danas za izračunavanja i obradu podataka prevashodno koriste digitalna kola. Sa druge strane, analogna kola obrađuju signale koji mogu imati bilo koju vrednost unutar datog opsega. Većina signala koje čovek generiše ili prepoznaje, kao što je tekst, govor, slika i zvuk su analogni signali. Pošto se celokupno procesiranje izvodi u digitalnom domenu, jedan generalni elektronski sistem najpre konvertuje analogne signale u digitalne, zatim u digitalnom domenu obavlja sva potrebna izračunavanja, transformacije i komunikacije, da bi konačno, rezultat konvertovao nazad u analogni domen. Konverzije iz analognog u digitalni domen i obrnuto se obavlja uz pomoć interfejsnih kola, kao što su A/D i D/A konvertori. Digitalno projektovanje kreće od nivoa gejtova i flip-flopova, koji su raspoloživi u vidu biblioteke logičkih komponenti. Šta više, projektant digitalnog hardvera ne mora da poznaje detalje koji se odnose na fabrikaciju logičkih komponenti i VLSI projektovanje, već je njegov zadatak da koristeći raspoložive bibilotečke komponente kreira opis sistema koga projektuje. Teorijsku osnovu digitalnog projektovanja čini Bulova algebra i teorija konačnih automata, što je u bliskoj vezi sa načinima reprezentacije podataka u binarnom brojnom sistemu. Koristeći tehnike logičkog i sekvencijalnog projektovanja, kreiraju se biblioteke kombinacionih i sekvencijalnih modula, koji predstavljaju bazične gradivne blokove procesorskih komponenti. Projektovanje procesorskih komponenti zasnovano je bihejvioralnom opisu u vidu ASM dijagrama ili kôda u jeziku za opis hadvera (kao što je VHDL). Standardne i specijalizovane procesorske komponente, zajedno sa interfejsnim komponentama i elektro-mehaničkim uređajima, kao što su hard-disk i tastatura koriste se za projektovanje različitih tipova računarskih sistema opšte i posebne namene, kao što su personalni računari, radne stanice i komunikacioni kontroleri. Računarski sistemi se dalje koriste za projektovanje složenijih, tzv. embedded sistema koji se primenjuju u oblastima kao što su digitalna obrada signala, robotika, komunikacije, medicinska instrumenticija, multimedija i mnoge druge. 4

5 Sl. 1-1 Mapa procesa projektovanja elktronskih, digitalnih i računarskih sistema. 1.1 Stilovi projektovanja Standardna digitalna integrisana kola Sve do sredine 80 tih godina prošlog veka, digitalni sistemi su realizovani povezivanjem digitalnih integrisanih kola (IC) relativno jednostavne funkcije. Za tu namenu na raspolaganju je širok asortiman, komercijalno dostupni, tzv. standardnih digitalnih integrisanih kola fiksne funkcije. Serija najpopularnih komponenti ovog tipa poznata je pod nazivom serija Oznake svih čipova iz ove serije počinju ciframa 74. Na Sl. 1-2(a) prikazana je fotografija tipične komponente iz serije Na fotografiji se vidi pakovanje čipa sa izvedenim spoljašnjim priključcima, tzv. pinovima. Tip pakovanja sa dva reda pinova izvedenih na suprotnim stranama pakovanja poznat je pod nazivom DIP (skraćenica od engleskog pojma dual-in parallel). Sa Sl. 1-2(b) se vidi da komponenta sadrži šest NOT logičkih kola. Oznaka ovog kola je Dva krajnja, međusobno dijagonalno postavljena pina služe za dovod napajanja kola, V DD i Gnd, dok se preostalih 12 koriste za vezu sa NE kolima. Serija 7400 obuhvata veliki broj različitih komponenti, čiji se opis može naći u katalozima proizvođača ovakvih čipova. Serija 7400 predstavlja industrijski standard u smislu da komponente sa istom oznakom, proizvedene od strane različitih proizvođača poseduju identično pakovanje, raspored pinova, logičku funkciju i približne električne karakteristike. Unutar serije 7400 razvijeno je više familija zasnovanih na različitim tehnologijama. 5

6 Na primer, familija 74LS se realizuje u tehnologiji poznatoj kao tranzistorsko-tranzistorska logika ili TTL (prema engleskom terminu transistor-transistor logic), dok familija 74HC koristi CMOS tehnologiju. (a) DIP pakovanje (b) struktura kola 7404 Sl. 1-2 Tipično kolo iz serije Na Sl. 1-3 je prikazano kako bi se pomoću čipova iz serije 7400 realizovala logička funkcija f = x 1 x 2 + x 2`x 3. Za realizaciju funkcije f potrebno je jedno NE, dva I i dva ILI logička kola. Kao što se može videti sa Sl. 1-3, iskorišćene su tri komponente iz serije Uočimo da su iskorišćena samo pet od ukupno 14 raspoloživih logičkih kola. Neiskorišćena kola mogu biti upotrebljena za realizaciju neke druge funkcije. Sl. 1-3 Realizacija funkcije f = x 1 x 2 + x 2`x 3. Osim čipova sa nezavisnim logičkim kolima, u seriji 7400 postoje i čipovi složenije funkcije, ali ukupan broj logičkih kola integrisanih na jedan čip ne prelazi 100 kola. Upravo zbog niskog logičkog kapaciteta, standardna digitalna integrisana kola danas se retko primenjuju u praksi. Vremenom tehnologija integrisanih kola je napredovala. Uporedo sa napretkom tehnologije evoluirao je i način za klasifikaciju integrisanih kola prema njihovoj veličini. Integrisana kola starijih generacija, kao što su jednostavniji čipovi iz serije 7400, sadržala su tek nekoliko logičkih kola. Za takve čipove se kaže da su realizovani u tehnologiji niskog nivoa integracije ili SSI (od engleskog termina Small-Scale Integration). Čipovi sa nešto većim brojem logičkih kola, tipično 10 do 100, svrstavaju se u srednji nivo integracije ili MSI (Medium-Scale Integration). Do sredine 80`tih godina prošlog veka, čipovi koji su bili previše veliki da bi se klasifikovali kao MSI, svrstavani su kategoriju kola velikog nivoa integracije ili LSI (Large-Scale Integration). Poslednjih godina, koncept klasifikacije integrisanih kola prema veličini izgubio je praktični značaj. Većina savremenih digitalnih integrisani kola sadrži između više hiljada do više desetina miliona tranzistora! Bez obzira na tačan broj integrisanih tranzistora, za ovako velike čipove kaže se da su realizovani u tehnologiji veoma visokog nivoa integracije ili VLSI (Very Large Scale Integration). Danas je trend u digitalnoj elektronici da se na jedan čip integriše što je moguće veći broj tranzistora. Većina integrisanih kola koja su danas u upotrebi svrstavaju se u VLSI tehnologiju. Stariji tipovi čipova koriste se retko ili samo kao rezervni delovi za starije uređaje Programabilne logičke komponente Standardna digitalna integrisana kola, kao što su komponente iz serije 7400, poseduju fiksnu (tj. nepromenljivu) funkciju. Zbog ove činjenice i ograničenja da svaki čip sadrži mali broj logičkih kola, digitalna kola standardne funkcije su nepodesna za konstrukciju složenijih digitalnih sistema. Međutim, moguće je proizvesti integrisana kola, sa relativno velikim brojem logičkih elemenata, čija funkcija nije fiksna. Takva kola pojavila su se 6

7 sredinom 70`tih godina prošlog veka i poznata su pod nazivom programabilne logičke komponente ili PLD (prema engleskom terminu Programmable Logic Device). Za PLD se može reći da predstavljaju digitalna integrisana kola opšte namene, tj. komponente koja se mogu konfigurisati, odnosno programirati, od strane krajnjeg korisnika da bi ispunila zahteve konkretne primene. Na PLD kolo se može gledati kao na crnu kutiju koja sadrži mnoštvo logičkih kola, veza i programabilnih prekidača (Sl. 1-4). Programabilni prekidači omogućavaju da logička kola unutar PLD komponente budu povezana na odgovarajući način kako bi se ostvarila željena funkcija. Ulazi (logicke promenljive) Logicka kola, veze i programabilni prekidaci Izlazi (logicke funkcije) PLA strukture Sl. 1-4 Programabilna logička komponenta kao crna kutija. Danas postoji više različitih tipova, komercijalno dostupnih tipova PLD komponenti. Istorijski gledano, prva takva kola bila su programabilna logička polja ili PLA (Programmable Logic Array). Principijelna blok šema PLA kola prikazana je na Sl Koncept strukture PLA kola zasnovan je na činjenici da se bilo koja logička funkcija može realizovati u vidu zbira logičkih proizvoda. Stoga glavni deo PLA kola čine dve logičke mreže: AND mreža u kojoj se formiraju logički proizvodi i OR mreža na čijim izlazima se dobijaju logičke sume. Kao što je prikazano na Sl. 1-5, ulazi PLA x 1,, x n prolaze kroz skup bafera i invertora kako bi se za svaki ulaz pored njegove prave generisala komplementarna vrednost. U AND mreži generiše se skup proizvoda P 1,, P k. Svaki od ovih proizvoda može se konfigurisati tako da realizuje bilo koju AND funkciju promenljivih x 1,, x n i njihovih komplemenata. Formirani proizvodi su ulazi u OR mrežu koja generiše izlaze f 1,, f m. Svaki izlaz može biti konfigurisan tako da realizuje bilo koju sumu proizvoda P 1,, P k.... Sl Principijelna blok šema PLA kola. Na Sl. 1-6(a) prikazana je detaljnija blok šema jednog PLA kola malog obima. PLA sa Sl. 1-6(a) ima tri ulaza, četiri proizvoda i dva izlaza. Svako AND kolo u AND mreži ima šest ulaza, od kojih svaki odgovara pravoj ili komplementarnoj vrednosti jednog od tri ulaza. Ulazne veze AND kola su programabilne u smislu da se po potrebi mogu raskinuti. Talasasta linija ukazuje da između AND kola i odgovarajućeg ulaznog signala postoji veza, a prekinuta linija da veza ne postoji. Ulazi AND kola koji su nepovezani deluju kao logičke 1-ce i tako ne utiču na funkciju kola. 7

8 (a) Sl PLA: (a) struktura sa tri ulaza, četiri logička proizvoda i dva izlaza; (b) Uobičajeni način predstavljanja PLA strukture. U PLA kolu sa Sl. 1-6(a), AND kolo koje generiše proizvod P 1 spojeno je sa ulazima x 1 i x 2. Stoga važi P 1 =x 1 x 2. Slično, P 2 =x 1 x 3`, P 3 =x 1`x 2`x 3 i P 4 =x 1 x 3. Programabilne veze takođe postoje i u OR mreži. Izlaz f 1 spojen je sa proizvodima P 1, P 2 i P 3 i zbog toga realizuje funkciju f 1 = x 1 x 2 + x 1 x 3` + x 1`x 2`x 3. Slično, važi f 2 = x 1 x 2 + x 1`x 2`x 3 + x 1 x 3. Drugačijim programiranjem prekidača, PLA kolo je moglo da realizuje i neku drugu funkciju promenljivih x 1, x 2 i x 3. Pri tome, jedino ograničenje potiče od veličine AND mreže koja, za komponentu sa Sl. 1-6(a) sadrži četiri AND kola i zato može da generiše samo četiri različita proizvoda. Dakle, PLA sa Sl. 1-6(a) može da realizuje bilo koju funkciju tri promenljive koja se može izraziti u obliku sume najviše četiri proizvoda. Komercijalno dostupna PLA kola poseduju veće dimenzije od kola sa Sl. 1-6(a). Tipični parametri su komponente sa 16 ulaza, 32 proizvoda i 8 izlaza. Sl. 1-6(a) jasno ilustruje funkcionalnu strukturu PLA kola. Međutim, ovakav način crtanja nije podesan za veće PLA strukture. U tehničkoj literaturi uobičajeno je da se struktura PLA kola prikazuje na način kao na Sl. 1-6(b). Svako AND logičko kolo predstavljeno je jednom horizontalnom linijom spojenom sa simbolom AND kola. Vertikalne linije simbolišu moguće ulaze u AND kola, a znak X označava postojanje veze na odgovarajućem ulazu AND kola. Koristeći ovu simboliku, AND mreža u PLA kolu sa Sl. 1-6(b) realizuje iste proizvode kao i AND mreža sa Sl. 1-6(a). OR logičko kolo predstavlja se na sličan način: vertikalna linija u spoju sa simbolom OR kola. U preseku ovih linija i izlaza AND kola mogu se formirati programabilne veze. Raspored programabilnih veza u PLA strukturi sa Sl. 1-6(b) odgovara funkcijama f 1 i f 2 sa Sl. 1-6(a) PAL strukture Kod PLA strukture obe mreže, AND i OR, su programabilne. U početnom periodu razvoja PLD kola, glavni problemi u fabrikaciji ovakvih struktura ticali su se implementacije programabilnih prekidača. Na tadašnjem nivou razvoja tehnologije, nije bilo lako realizovati programabilne prekidače. Oni su takođe značajno povećavali kašnjenje signala kroz kolo. Ovi nedostaci doveli su do razvoja slične programabilne strukture kod koje je AND mreža programabilna, a OR fiksna. Takva struktura poznata je pod skraćenicom PAL (Programmable Array Logic Programabilni logički niz). Zbog manjeg broja programabilnih prekidača, proizvodnja PAL-a bila je jednostavnija, a time i jeftinija, pa su u praktičnim primenama PAL kola brzo postala popularna. (b) Sl. 1-7 Primer PAL strukture. Na Sl. 1-7 prikazan je PAL sa tri ulaza, četiri proizvoda i dva izlaza. Proizvodi P 1 i P 2 fiksno su povezani na jedno, a proizvodi P 3 i P 4 na drugo OR kolo. PAL sa Sl. 1-7 je tako programiran da realizuje funkcije f 1 = x 1 x 2 x 3` + x 1`x 2 x 3 i f 2 = x 1`x 2` + x 1 x 2 x 3. U poređenju sa PLA sa Sl. 1-6, PAL poseduje manju fleksibilnost: dok PLA 8

9 dozvoljava do četiri proizvoda po OR kolu, OR kola kod PAL imaju samo dva ulaza. Smanjena fleksibilnost donekle je kompenzovana dostupnošću PAL kola sa različitim brojem ulaza i izlaza i različitim brojem ulaza u OR kola. Sl. 1-8 Struktura makroćelije. Kod PLA i PAL struktura koje smo do sada razmatrali izlazi OR mreže su direktno spojeni sa izlaznim pinovima čipa. Međutim, kod mnogih, pre svega PAL kola, dodatna fleksibilnost se postiže ugradnjom specifičnih, konfigurabilnih elemenata, tzv. makroćelija, na izlaz svakog OR kola. Na Sl. 1-8 je prikazan primer tipične makroćelije. Makroćelija sadrži flip-flop za memorisanje rezultata logičke funkcije generisane u AND- OR mrežama i dodatnu programabilnu logiku koja omogućava da se pripadajući pin može po potrebi koristiti kao ulaz ili izlaz. Dostupnost memorijskih elemenata u PAL kolu omogućava realizaciju registarskih komponenti (stacionarni, pomerački, brojački registri) i konačnih automata. Signal takta (clock) je zajednički za sve makroćeiju u kolu. Funkcija makroćelije se definiše pomoću dva programabilna prekidača S i E. Programirano stanje prekidača E, posredstvom trostatičkog bafera, definiše da li se pripadajući pin koristi kao ulaz ili izlaz. Trostatički bafer deluje kao prekidač koji po potrebi uspostavlja ili raskida vezu između makroćelije i odgovarajućeg pina. Ako želimo da pin koristimo kao izlaz, odgovarajući trostatički bafer treba biti omogućen (eng. enabled) ili uključen (E=1) u kom slučaju deluje kao zatvoren prekidač. Pri tome, programirano stanje prekidača S određuje da li se na izlaz vodi izlaz OR mreže (S=0, kombinacioni izlaz), ili izlaz flip-flopa (S=1 - registrarski izlaz). Povratne veze vraćaju u AND mrežu logičku funkciju realizovana na izlazu multipleksera, što omogućava da se ona, kombinovanjem sa drugim ulazima, iskoristi za formiranje još složenijih logičkih funkcija. Ako pin koristimo kao ulaz, trostatički bafer treba biti onemogućen (eng. disabled) (E=0), što znači da deluje kao otvoren prekidač. U tom slučaju, pin se pobuđuje signalom iz nekog spoljašnjeg izvora, koji se putem povratnih veza (u pravoj i komplementarnoj formi) sprovodi do AND mreže ROM ROM je programabilno AND-OR polje kod koga je AND mreža fiksna, a OR programabilna. Realizacija logičkih funkcija pomoću ROM-a zasnovana je na poznatom stavu iz Bool-ove algebre da se svaka logička funkcija može predstaviti u vidu sume potpunih proizvoda (tj. minterma). Kod ROM-a sa n ulaza, fiksna AND mreža generiše sve moguće minterme n promenljivih, dok se u OR mreži, programiranjem prekidača, sumiraju samo oni mintermi koji ulaze u izraz za sumu minterma konkretne logičke funkcije. Na taj način, ROM sa n ulaza i m izlaza može da realizuje bilo koji sistem od m logičkih funkcija od n promenljivih. Struktura ROM-a sa 3 ulaza i 2 izlaza prikazana je na Sl Fiksni spojevi u AND matrici naznačeni su kvadratima, a programabilni prekidači u OR matrici krstićima. Raspored zatvorenih prekidača u OR mreži je takav da ROM realizuje identične funkcije, f 1 i f 2, kao i PLA sa Sl. 1-6(b) U opštem slučaju, kod ROM-a sa n ulaza i m izlaza fiksna AND mreža se sastoji od 2 n n-ulaznih AND kola, a OR mreža od m 2 n -ulaznih OR kola. Svako AND kolo u AND mreži je u fiksnom spoju sa jednom kombinacijom ulaza i njihovih komplemenata, formirajući tako jedan minterm. Drugim rečima, AND matrica ima funkciju binarnog dekodera n/2 n. 9

10 Poređenje PLA, PAL i ROM Sl. 1-9 ROM PLA, PAL i ROM strukture se jednim imenom zovu jednostavna PLD, ili SPLD, (eng. Simple PLD) kola. Za razliku od PLA i PAL, ROM ne postavlja ograničenja u pogledu mogućnosti realizacije logičkih funkcija. U tom smislu ROM se može smatrati univerzalnim kombinacionim blokom. Međutim, kako je kapacitet ROM-a (tj. broj programabilnih prekidača) eksponencijalna funkcija broja ulaza, ROM postaje izrazito neekonomično rešenje kada je broj ulaza veliki (tipično, veći od 16). Takođe, glomazne AND i OR mreže kod ROM-a sa velikim brojem ulaz povećavaju propagaciono kašnjenje signala što smanjuje brzinu rada kola. Iz tog razloga ROM se koristi za realizaciju kombinacionih kola u primenama gde se sistem logičkih funkcija karakteriše neregularnom strukturom u smislu da se ne može predstaviti kompaktnim analitičkim izrazom. Tipičan primer ovakvih kola su konvertori kodova. S druge strane, PLA i PAL su pogodni za realizaciju kombinacionih mreža regularne strukture koje se mogu opisati jednostavnim logičkim funkcijama, kako što su multiplekseri, dekoderi, komparatori i sl. Takođe, SPLD kola PLA i PAL sturukture tipično poseduju memorijske elemente (flipflopove) koji omogućavaju realizaciju sekvencijalnih kola. PLA i PAL kola se koriste isključivo kao PLD komponente, dok je glavna primena ROM-a smeštanje programa u mikroprocesorskim sistemima Programiranje SPLD kola Konfigurabilnost PLD kola omogućena je postojanjem internih programabilnih tačka koje, u suštini, predstavljaju prekidačke elemente koji se mogu programirati tako da se ponašaju kao kratko-spojeni ili otvoreni prekidači. U fazi programiranja kola, signali koji se dovode na ulaz kola otvaraju i zatvaraju programabilne tačke (elektronske prekidače) i na taj način ostvaruju željene oblike povezivanja internih komponenta. Kod prvih PLD kola za realizaciju programabilnih prekidača korišćeni su poluprovodnički osigurači. Inicijalno svi osigurači su "nesagoreni". Pobuđivanje kola nešto višim naponima od radnih uslovljava da kroz PLD protiču velike struje. Kao posledica, veze koje formiraju osigurači se raskidaju. Treba pri ovome naglasiti da ne postoji metod za rekonstrukciju (obnavljanje) stanja prekidača, tj. njegovo sagorevanje je trajno ili bespovratno. Tipičan predstavnik ovakvih kola je programabilni ROM ili PROM. Danas, kod SPLD, za realizaciju programabilnih prekidača, prevladavaju tehnologije zasnovane na tranzistorima sa izolovanim gejtom (floating-gate) EPROM ili EEPROM tipa. Radi se o istoj tehnologiji koja se sreće kod EPROM i EEPROM memorija. Programabilni prekidač je tranzistor sa izolovanim gejtom (EPROM tranzistor), koji se, programiranjem, može permanentno zakočiti. EPROM tehnologija omogućava reprogramiranje PLD kola, odnosno pruža mogućnost da se PLD kolo programirano jednom funkcijom ponovo programira nekom drugom. Komercijalno dostupne SPLD komponente sadrže i do više hiljada programabilnih prekidača. Zbog toga je praktično nemoguće da korisnik pojedinačno definiše stanje svakog prekidača, već se za tu namenu koriste specijalizovani CAD alati. Korisnik najpre kreira izvorni PLD fajl koji sadrži tekstualni opis željene funkcije, u vidu logičkih funkcija, tabela istinitosti, tabela stanja (Sl. 1-10(a)). Dodatne konstrukcije omogućavaju korisniku da definiše i druge progamabilne opcije kola, kao što je tip izlaz (registarski ili kombinacioni). Izvorni PLD fajla 10

11 se obrađuje CAD alatom, koji koristi bazu informacija sa detaljima o internoj strukturi različitih tipova SPLD kola. Nakon što je korisnik izabrao tip komponente koju želi da koristi, alat analizira izvorni fajl i obavlja logičku minimizaciju kako bi se osiguralo optimalno iskorišćenje raspoloživih resursa komponente. Kao izlaz, alat generiše izlaznu datoteku, tzv. datoteku za programiranje, koja sadrži mapu osigurača, sa upisanim stanjem svakog prekidača u kolu (Sl. 1-10(b)). Računar na kome se izvršava CAD alat povezan je kablom sa uređajem koji se zove programator (Sl. 1-10(c)). SPLD komponenta se postavlja u programator, a datoteka za programiranje se iz računara preko kabla prenosi u programator. Programator postavlja SPLD u režim programiranja i pojedinačno konfiguriše svaki prekidač. Proces programiranja može da traje i do nekoliko minuta. Obično, nakon završenog programiranja programator automatski očitava stanja svih prekidača i proverava da li je čip ispravno programiran. Nakon verifikacije, SPLD čip je spreman za ugradnju u ciljni sistem, najčešće na štampanu ploču. SPLD kola se obično ne leme direktno na štampanu ploču već se postavljaju u posebno kućište, tzv soket, kako bi naknadno, ako se javi potreba, mogli lako da se reprogramiraju nekom drugom funkcijom. Baza PLD komponenti Tip PLD kola = jjj Izvorna PLD datoteka Datoteka za programiranje CAD alat (a) (b) (c) Sl Programiranja SPLD kola: (a) primer jednostavne izvorne PLD datoteke (znak & znači logičko I, znak! logičko NE, a znak logičko ILI); (b) konverzija izvorne u datoteku za programiranje; (c) fizičko programiranje SPLD komponente CPLD strukture Primena SPLD kola ograničena je na realizaciju relativno jednostavnih digitalnih sistema. Ukupan broj ulaza i izlaza kod komercijalno dostupnih PLA i PAL nije veći od 32. Ako digitalni sistem zahteva veći broj ulaza i izlaza, ili ako je njegova funkcija isuviše složena da bi se realizovati u jednom PLA/PAL čipu, projektant može da postupi na jedan od sledeća dva načina: (1) podeli sistem na više jednostavnijih delova i svaki deo realizuje jednim PLA/PAL kolom, ili (2) upotrebi drugačiji tip PLD kola, poznat pod nazivom složena PLD kola ili CPLD (od Complex PLD). CPLD je integrisano kolo koje sadrži veći broj programabilnih logičkih blokova međusobno povezanih preko zajedničke programabilne prekidačke matrice. Svaki programabilni logički blok po strukturi je sličan PLA ili PAL. Na Sl je prikazan primer CPLD strukture koja se sastoji od četiri programabilna logička bloka (PLB). Svaki PLB je, sa jedne strane, povezan na programabilnu prekidačku matricu (PPM), a sa druge na U/I blok preko koga se ostvaruje sprega sa ulazim i izlaznim pinovima čipa. Na Sl je dat detaljniji prikaz dela unutrašnje strukture CPLD kola. Kod ovog kola, PLB sadrži tri makroćelije (komercijalna CPLD kola obično imaju 16 makroćelija po jednom PLB). Svaka makroćelija sadrži jedno četvoro-ulazno OR kolo (OR kola kod komercijalnih CPLD obično imaju od 5 do 20 ulaza). Izlaz OR kola povezan je na ulaza XOR logičkog kola. Funkcija XOR kola je slična OR kolo s tom razlikom da za obe 1- ce na ulazu umesto 1 na izlazu generiše 0. Jedan od ulaza XOR kola programski se može povezati na 1 ili 0; za 1 XOR kolo komplementira izlaz OR kola, dok za 0 nema efekta, tj. na svoj izlaz prenosi izlaz OR kola. Makroćelija takođe sadrži po jedan flip-flop, multiplekser i trostatički bafer za spregu sa pinom CPLD čipa. Trostatički bafer omogućava da se svaki pin može koristiti bilo kao ulaz bilo kao izlaz. Pin se pobuđuje signalom iz nekog spoljašnjeg izvora, koji putem PPM može biti sproveden do ulaza neke druge makroćelije. 11

12 Programabilni logički blok Programabilni logički blok Programabilna prekidačka mreža Programabilni logički blok Programabilni logički blok Sl Principijelna blok šema CPLD strukture. Sprega PLB-ova radi formiranja složenijih funkcija ostvaruje se posredstvom PPM koja je po strukturi slična programabilnim AND i OR mrežama. PPM sadrži veliki broj horizontalnih i vertikalnih metalnih linija koje se spajaju programabilnim prekidačima. Kao što se može uočiti svaka horizontalna linija povezana je samo sa nekim, ali ne svim vertikalnim linijama. Broj prekidača i njihov raspored u PPM predstavlja kompromis između, sa jedne strane, potrebe za što većom fleksibilnošću u povezivanju PLB-ova i, sa druge strane, zahtevom za uštedom u broju ugrađenih prekidača. Treba obratiti pažnju na još jedan detalj. Kada se pin koristi kao ulaz, makroćelija pridružena pinu, postaje neupotrebljiva jer njen izlaz ne može biti sprovede ni na izlaz čipa niti na ulaz neke druge makroćelije. Iz tog razlog, kod nekih tipova CPLD kola, postoje dodatne linije između makroćelija i PPM koje omogućavaju da se i u takvim situacijama makroćelija može korisno upotrebiti. Veličina komercijalnih CPLD kola se kreće od 2 do preko 100 PLB-ova, a dostupna su u pakovanjima sa 100 do 200 pinova. PLB (detalji nisu prikazani) Programabilni logički blok (PLB) D Q D Q D Q Sl Deo strukture CPLD kola sa slike. Kod većine CPLD kola, za realizaciju programabilnih prekidača koristi se ista tehnologija kao kod SPLD kola. Prekidači se mogu programirati korišćenjem programatora, na način koji je opisan u sekciji Međutim, ova metoda programiranja nije pogodna za veća CPLD kola iz sledeća dva razloga. Prvo, veća CPLD kola mogu imati i preko 200 pinova na čipu, koji su malih dimenzija i mogu se lako oštetiti. Drugo, za postavljanje čipa u programator potreban je poseban soket, prilagođen kućištu čipa. Soketi za veća pakovanja su skupi, a nekada i skuplji od samog CPLD čipa. Iz tog razloga, CPLD kola obično podržavaju tzv. ISP tehniku programiranja. ISP 12

13 (eng. In-System-Programming) se odnosi na mogućnost programiranja čipa u sistemu (Sl. 1-13). Na štampanoj ploči koja sadrži CPLD kolo postavljen je konektor za priključenje kabla za vezu sa računarem. CPLD se programira tako što se iz računara, preko kabla direktno u CPLD kolo prenose podaci za programiranje predhodno generisani od strane CAD sistema. U samom CPLD čipu ugrađen je sklop koji prihvata ove podatke i na osnovu njih programira pojedinačne prekidače. Način programiranja i oblik informacije koja se šalje CPLD kolu propisani su standardom koji se zove JTAG port. Na Sl je ilustrovan primena JTAG porta za programiranje dva CPLD na istoj štampanoj ploči. CPLD kola su međusobno povezana tako da se oba mogu programirati preko istog konektora. Nakon što je CPLD kolo programirano, ono zadržava programirano stanje čak i pošto je napajanje isključeno. Ova osobina se zove postojano (eng. nonvolatile) programiranje. Jednom programirano CPLD kolo se naknadno može obrisati i ponovo programirati nekom drugom funkcijom (tj. reprogramirati). CPLD čip Ka računaru Štampana ploča FPGA Sl ISP tehnika programiranja. Prethodno opisani tipovi digitalnih integrisanih kola, serija 7400, SPLD i CPLD, mogu se koristiti za realizaciju širokog spektra digitalnih kola i sistema. Međutim, izuzev CPLD, radi se o malim komponentama za relativno jednostavne primene. Čak i sa CPLD na raspolaganju, projektanti su u mogućnosti da pomoću jednog čipa realizuju sisteme, po današnjim merilima, niske do srednje složenosti. Složenosti ili veličina digitalnog sistema može se izraziti procenjenim brojem jednostavnih logičkih kola (najčešće dvoulaznih NI kola) potrebnih za realizaciju njegove funkcije. Ova mera složenosti se zove logički kapacitet i izražava se broj ekvivalentnih gejtova. Po ovom kriterijumu, veličina tipičnog PAL kola je oko 160 gejta. Veće CPLD komponente, sa 1000 makroćelija, mogu da realizuju kola složenosti do oko ekvivalentnih gejtova. Digitalni sistemi sa gejtova danas se ne smatraju složenim. Za realizaciju veći sistema, uobičajeno se koristi drugačiji tip PLD komponenti sa daleko većim logičkim kapacitetom poznate pod nazivom FPGA (Field Programmable Gate Array). Arhitektura FPGA kola nije zasnovana na prekidačkim mrežama, ako što je to slučaj sa drugim tipovima programabilnih komponenti. Umesto toga, kod FPGA se za realizaciju logičkih funkcija koriste logički blokovi. Na Sl je prikazana uopštena struktura FPGA kola, koju čine tri glavna tipa resursa: (1) logički blokovi, (2) U/I (ulazno/izlazni) blokovi za spregu sa pinovima i (3) veze i programabilni prekidači. Logički blokovi su raspoređeni u dvodimenziono polje, dok su veze i prekidači smešteni u horizontalnim i vertikalnim kanalima za povezivanje. Kanali sadrže veze i programabilne prekidače putem kojih se ostvaruje željeno interno povezivanje logičkih blokova. Programabilni prekidači su grupisani u blokove (označeni na Sl kao zatamnjeni kvadrati). Četiri bloka prekidača koji okružuju logički blok, služe za spregu ulaznih i izlaznih priključaka logičkog bloka sa vezama iz vertikalnih i horizontalnih kanala (veze nisu prikazane na Sl. 1-14). Blokovi prekidača pozicionirani dijagonalno u odnosu na logičke blokove služe za međusobno povezivanje horizontalnih i vertikalnih veza. Programabilne veze takođe postoje i između U/I blokova i internih veza. 13

14 Sl FPGA. FPGA kola omogućavaju realizaciju digitalnih sistema složenosti od nekoliko desetina hiljada do nekoliko miliona ekvivalentnih gejtova. Sa tako velikim logičkim kapacitetom na raspolaganju, primena FPGA kola nije ograničena samo na realizaciju relativno jednostavnih digitalnih struktura, kao što je to slučaj kod SPLD i CPLD, već pružaju mogućnost realizacije kompletnih sistema na jednom čipu zasnovanih na mikroprocesoru. Takođe, savremena FPGA kola poseduju ugrađenu RAM memoriju i specijalizovane module, kao što su množači, komunikacioni kontroleri i sl. Dva primera FPGA kola su komponente FLEX 10K, firme Altera, i XC4000, firme Xilinx. FPGA kola su dostupna u pakovanjima sa po nekoliko stotina pinova. Logički blok FPGA kola tipično ima manji broj ulaza i jedan izlaz. Mada postoje i drugačija rešenja, najčešće korišćeni logički blok je tipa look up tabela (tabela pretraživanja), ili LUT. LUT sadrži memorijske ćelije i može da realizuje samo jednostavne funkcije. Svaka memorijska ćelija sadrži jednu logičku vrednost, 0 ili 1. LUT blokovi se razlikuju po veličini, gde se pod veličinom podrazumeva broj ulaza. Na Sl. 1-15(a) je prikazana struktura jednostavnog LUT bloka, sa samo dva ulaza x 1 i x 2 i jednim izlazom f. Ovaj LUT blok može da realizuje bilo koju logičku funkciju dve promenljive. S obzirom da tabela istinitosti za dve promenljive ima četiri vrste, LUT ima četiri memorijske ćelije. Svaka memorijska ćelija odgovara jednoj vrsti tabele istinitosti. Ulazne promenljive x 1 i x 2 se koriste kao selekcioni ulazi tri multipleksera, koji zavisno od vrednosti x 1 i x 2 na izlaz f prosleđuju sadržaj jedne od četiri ćelije. x 1 x 2 f (a) (b) f 1 = x 1 x 2 + x 1 x 2 (c) Sl Dvoulazni LUT blok: (a) struktura; (b) funkcija f 1 = x 1 x 2 + x 1 x 2 ; (c) sadržaj memorijskih ćelija koji odgovara funkciji f 1. Realizaciju logičkih funkcija u LUT bloku, razmotrićemo na primeru funkcije f 1 definisanu tabelom istinitosti sa Sl. 1-15(b). Funkcija f 1 se može zapamtiti LUT bloku na način kao na Sl. 1-15(c). Kada je x 1 =x 2 =0, na izlaz LUT bloka prenosi se sadržaj prve gornje ćelije, koja odgovara prvoj vrsti tabele istinitosti (x 1 x 2 =0). Slično, za svaku kombinaciju vrednosti promenljivih x 1 i x 2, logička vrednost zapamćena u memorijskoj ćeliji koja definiše izlaz LU-a, identična je izlaznoj vrednosti odgovarajuće vrste tabele istinitosti. 14

15 x1 x2 0/1 0/1 0/1 0/1 0/1 f 0/1 0/1 0/1 x3 Sl Tro-ulazni LUT. Na Sl je prikazana tro-ulazni LUT blok. Blok ima 8 ćelija, zato što tabele istinitosti za tri promenljive ima 8 vrsta. Kod komercijalnih FPGA kola, LUT blokovi obično imaju četiri ili pet ulaza, što zahteva 16, odnosno 32 memorijske ćelije. Osim LUT strukture, logički blok može sadrži i dodatne resurse. Na Sl je prikazano kako se u logički blok može uvrstiti flip-flop. Sa svakim taktnim impulsom, u flip-flop se memoriše trenutni izlaz LUT-a. Multiplekser omogućava da se na izlaz logičkog bloka postavi vrednost sa izlaza LUT-a ili sa izlaza flip-flopa. s x1 x2 LUT flip-flop D Q f x3 clock Sl FPGA logički blok sa flip-flopom. Složenije logička funkcija se realizuju u FPGA kolu tako što se razlažu na jednostavnije funkcije, koje se mogu realizovati jednim logičkim blokom. U praksi, ova transformacija se obavlja automatski pomoću odgovarajućih CAD alata. Ovi alati su u stanju da opis kola visokog nivoa (logičke jednačine, šematski prikaz, opis u jeziku za opis hardvera) konvertuju u mapu bitova za programiranje FPGA kola. Ova mapa sadrži bitove za upis u logi čke blokove, tako da oni realizuju neophodne logičke funkcije i bitove koji definiše stanja programabilnih prekidača, tako da oni ostvaruju neophodan povezivanja logičkih blokova. FPGA se konfigurišu ISP metodom. Kod najvećeg broja komercijalnih FPGA kolu memorijske ćelije su nepostojane (eng. volatile), što znače da nakon uključivanja napajanja gube memorisani sadržaj. To znači, FPGA mora biti programiran uvek kada se uključi napajanje. Često, konfiguracioni sadržaja se čuva u PROM (Programmable Read-Only Memory) čipu, koji ima sposobnost permanentnog čuvanja upisanih podataka. PROM i FPGA su međusobno povezani, a po uključenju napajanja, sadržaj PROM-a se automatski prebacuje u FPGA. Na Sl je prikazana deo FPGA kola programiran tako da realizuje neku konkretnu funkciju. FPGA sadrži dvo-ulazne logičke blokove i kanale za povezivanje sa po četiri veze u svakom. Slika, takođe prikazuje programirani sadržaj LUT blokova i programirana stanja prekidača. Programabilni prekidači su prikazani znakom X. Crni prekidači su otvoreni, a sivi zatvoreni, tj. čine spoj između vertikalne i horizontalne veze. Tabele istinitosti programirane u LUT blokove iz gornjeg reda odgovaraju funkcijama: f 1 = x 1 x 2 i f 2 = x 2 x 3. Logički blok desno u donjoj vrsti, programiran je tako da realizuje funkciju: f = f 1 + f 2 = x 1 x 2 + x 2 x 3. 15

16 Sl Deo programiranog FPGA kola. Memorijske ćelije FPGA kola su tipa statički RAM (SRAM) i koriste se ne samo za realizaciju LUT blokova već i za upravljanje programabilnim prekidačima. Na Sl je prikazan deo FPGA kola sa slike. Prikazani logički blok generiše izlaz f 1 koji pobuđuje horizontalu vezu. Ova veza se može povezati sa nekima od vertikalnih veza sa kojima se seče. Horizontalna i vertikalne veze nisu kartkospojene, već se spajaju pomoću NMOS tranzistora čiji gejt se pobuđuje izlazom SRAM ćelije. Tranzistor funkcioniše kao prekidač, koji se u datoj konfiguraciji zove pass prekidač. Ako SRAM ćelija sadrži 0, odgovarajući NMOS tranzistor će biti zakočen i signal sa horizontalne neće moći da pređe na vertikalnu vezu. Međutim, ako je u ćeliji zapamćena 1-ca, NMOS tranzistor će biti provodan, odnosno uključen ( sivi tranzistor sa Sl. 1-19), što će omogućiti da se signal sa horizontalne prenese na vertikalnu vezu. Da bi se omogućilo programiranje, sve SRAM ćelije sadržane u FPGA (kako one iz LUT, tako i one koje upravljaju programabilnim prekidačima) su međusobno redno povezane tako da čine strukturu pomeračkog registra. Na taj način, programiranje se ostvaruje serijskim upisom (bit-po-bit) niza konfiguracionih bitava u niz SRAM ćelija ASIC Sl Pass-tranzistor prekidači kod FPGA. Na Sl je prikazana klasifikacija integrisanih kola prema metodologiji projektovanja. Na prvom nivou klasifikacije nalaze se standardna IC i aplikaciono-specifična integrisana kola ili ASIC (od Application-Specific Integrated Circuit). IC fiksne funkcije, kao što su komponente iz serije 7400 su primer standardnih IC. Za razliku od standardnih IC, čiju funkciju definiše proizvođač, funkciju ASIC kola definiše projektant. Napomenimo da se PLD kola mogu svrstati u obe kategorije. Sa jedne strane, PLD su standardne komponente, jer se proizvode u masovnim serijama za unapred nepoznatog kupca. Sa druge strane, PLD se mogu klasifikovati i kao vrsta ASIC kola, zbog mogućnosti projektanta da definiše njihovu funkciju. 16

17 Sl Klasifikacija integrisanih kola prema metodologiji projektovanja. Ključni faktor koji ograničava veličinu kola koje se može realizovati u PLD tehnologiji je postojanje programabilnih prekidača. Zahvaljujući programabilnim prekidačima, projektant je u mogućnosti da samostalno, uz pomoć softverskih alata, realizuje integrisano kolo prilagođeno njegovim potrebama. Međutim, prekidači zauzimaju značajnu površinu PLD čipa i usporavaju rad kola. Naravno, moguće je proizvesti IC bez prekidača, koja će sadržati samo one sklopove koji su neophodni da bi se ostvarila zadata funkcija. Međutim, bez mogućnosti programiranja, projektanti više nisu u mogućnosti da samostalno okončaju proces razvoja integrisanog kola. Projektanti razrađuju projekta do nivoa proizvodne dokumentacije, a zatim od proizvođača poluprovodničkih komponenti naručuju izradu IC po tim specifikacijama. Postoji više metodologija projektovanja IC po narudžbi, koje se razlikuju u pogledu nivoa detaljnosti i oblika proizvodne dokumentacije koju projektant isporučuje proizvođaču integrisanih kola. U nastavku ove sekcije razmotrićemo tri pristupa projektovanju IC po narudžbi: IC potpuno po narudžbi, standardne ćelije i gejtovaska polja IC potpuno po narudžbi Metodologija projektovanja potpuno po narudžbi (full-custom) omogućavaju integraciju najvećeg broja tranzistora i realizaciju IC-a najveće brzine rada. Projektovanje ovom metodom podrazumeva da se IC iz početka, do nivoa pojedinačnih tranzistora i veza, projektuje i proizvodi za tačnu definisanu primenu. Pri tome, projektant poseduje punu slobodu u izboru veličine čipa, broja i rasporeda tranzistora i metalnih veza na čipu. Ograničenja koja postoje uslovljena su jedino ciljnom poluprovodničkom tehnologijom, koja definiše parametre koje se odnose na geometriju tranzistora i metalnih veza, minimalno rastojanje između dve metalne veze i slično. Proces definisanje tačnih pozicija tranzistora i veza na čipu naziva se kreiranje layout-a čipa. Kod IC po narudžbi, projektant kreira sve detalje layout-a, a proizvođač koristi specifikaciju layout najpre za izradu fotolitografskih maski koje potom koristi za fabrikaciju komponenti. Cena projektovanja i proizvodnje IC potpuno po narudžbi je visoka. Sa jedne strane, projektovanje layout-a IC-a, čak i sa relativno malim brojem tranzistora, zahteva puno vremena i veliki napor projektanta. Takođe, troškovi izrade maski, mada jednokratni, su izuzetno visoki (reda veličine 100K$). Sa druge strane, cena pojedinačnih IC je niža u odnosu na druge pristupe projektovanju, zbog najmanjeg zauzeća površine na čipu. Iz tog razloga, projektovanje potpuno po narudžbi se koristi samo onda kada su visoke performanse imperativ ili kada se planira proizvodnja integrisanih kola u masovnim serijama (više miliona komada) kako bi se amortizovali visoki jednokratni troškovi. Primeri komponenti koje se obično projektuju potpuno po narudžbi su mikroprocesori i memorijski čipovi Standardne ćelije Deo troškova koji prati projektovanje IC potpuno po narudžbi može se izbeći ako se koristi tehnologija standardnih ćelija (standard cell). Standardne ćelije su unapred projektovani moduli, jednostavne funkcije, koje se u vidu gradivnih blokova koriste za konstrukciju složenijih struktura na čipu. Po pravilu, svaka standardna ćelija sadrži jedno logičko kolo (tipa NOT, AND, OR, NAND i slična) ili flip-flop. Standardne ćelije su raspoložive projektantu u vidu biblioteke standardnih ćelija. Za realizaciju svog projekta, projektant može da koristi samo ćelije iz biblioteke. 17

18 Sve standardne ćelije iz iste biblioteke imaju istu visinu, a razlikuju se po širini. Ulazi i izlazi ćelije uvek su postavljeni duž gornje i donje ivice ćelije. Standardne ćelije se pakuju u horizontalne redove, jedna do druge, a povezuju vezama koje se smeštaju u kanale između redova. Horizontalne i vertikalne veze se izvode na dva ili više nivoa koji su postavljeni iznad standardnih ćelija, kao što je prikazano na Sl. 1-21(a). Na Sl. 1-21(b) je prikazana realizacija potpunog sabirača na bazi standardnih ćelija. Uočimo da raspored ćelija, kako je dat na ovoj slici, zahteva četiri horizontalne staze za povezivanje u gornjem i pet u donjem kanalu. (a) (b) Sl Tehnologija standardnih ćelija: (a) Organizacija standradnih ćelija; (b) realizacija potpunog sabirača. Postiže se dvostruka ušteda. Prvo, projektovanje se obavlja na višem nivou apstrakcije (na nivou gejtova, a ne na nivou tranzistora), što značajno skraćuje vreme projektovanja. Drugo, vreme i napor koji treba uložiti za kreiranje layout-a čipa su značajno manji. Projektant ne kreira layout standardnih ćelije, jer je on unapred projektovan i fiksiran, već samo raspoređuje i povezuje standardne ćelije. S obzirom na regularni raspored standardnih ćelija i veza na čipu, za kreiranje layout-a čipa mogu se koristiti CAD alati za raspoređivanje i povezivanje koji ovaj zadatak obavljaju automatski, na osnovu netliste (šeme veze) standardnih ćelija. CAD alat za raspoređivanje teži da ćelije distribuira po površini čipa tako da minimizuje rastojanje između međusobno povezanih ćelija. CAD alat za povezivanje određuje optimalan način za povezivanje prethodno raspoređenih ćelija težeći da minimizuje dužinu veza. Na osnovu kreiranog rasporeda ćelija i veza, a uz pomoć odgovarajućih CAD alata, kreiraju se maske za implementaciju čipa. Dakle, za razliku od projektovanja potpuno po narudžbi, gde se i projektovanje i proizvodnja IC-a obavljaju iz početka, kod projektovanja u tehnologiji standardnih ćelija, projektovanje je ubrzano zahvaljujući dostupnosti pretprojektovanih struktura, dok proizvodnja i dalje startuje iz početka. Makro-ćelije i makro-funkcije Osim bazičnih bibliotečkih ćelija (gejtova i flip-flopova) proizvođači ASIC komponenti obično nude projektantima i biblioteke složenijih logičkih elemenata, tzv. hard-makroa (ili makro-ćelija) i soft-makroa (ili makro-funkcija), kao što su sabirači, komparatori, pomerački registri, brojači i druge strukture sličnog nivoa složenosti. Makro-ćelije i makro-funkcije se realizuju korišćenjem ćelija iz bazične biblioteke. U slučaju makro-ćelija, proizvođač ASIC kola unapred određuje kako će veze između ćelija biti realizovane, dok u slučaju makro-funkcija raspored ćelija i veza složenog elementa nije unapred fiksiran, već se određuje u toku procesa raspoređivanja i rutiranja zajedno (i u isto vreme) sa ostalim bazičnim ćelijama koje je definisao projektant Gejtovska polja Tehnologija gejtovskih polja zasnovana je na korišćenju polu-fabrikovanih integrisanih kola. U gejtovskom polju, pojedini delovi čipa su unapred fabrikovani dok se preostali dorađuju shodno zahtevima konkretne primene. Koncept iskorišćava činjenicu da se proces fabrikacije integrisanih kola sastoji od niza koraka. Na primer, u nekim koracima se kreiraju tranzistori, a u drugim metalne veze za povezivanje tranzistora. Kod tehnologije gejtovskih polja, proizvođači sprovode najveći broj koraka, tipično one koji se tiču kreiranja tranzistora, unapred, nezavisno od ciljne primene. Rezultat je silicijumska pločica nedovršenog čipa. Tipično, gejtovsko polje čini veliki broj identičnih gejtova raspoređenih na silicijumskoj pločici u vidu regularne dvodimenzione matrice (Sl. 1-22(a)). Gejtovi su obično 3- ili 4-ulazna NI ili NILI kola, a na jednoj pločici ih može biti i do više miliona. Naknadnom doradom, koja podrazumeva kreiranje metalnih veza, gejtovi se povezuju na način koji diktira konkretna primena. Cena ovakve dorade je značajno niža u odnosu na projektovanje potpuno po narudžbi, gde se celokupan čip projektuje iz početka. Ušteda u proizvodnji se postiže zato što proizvođač može da amortizuje cenu fabrikacije gotovih čipova masovnom proizvodnjom polufabrikovanih, identičnih pločica gejtovskih polja. Sa druge strane, gustina gejtovskih polja je značajno manja u odnosu na IC projektovane potpuno po narudžbi. Tipično, broj raspoloživih gejtova u gejtovskom polju je od četiri do deset puta manji od broja gejtova koji se mogu realizovati na IC projektovanom potpuno po 18

19 narudžbi iste površine. Dodatno, zbog ograničenog prostora u kanalima za povezivanje često nije moguće iskoristiti sve raspoložive gejtove. Tipično, procenat iskorišćenja iznosi 70-90%. (a) (b) Sl Gejtovsko polje: (a) struktura; (b) Realizacija potpunog sabirača u tehnologiji gejtovskih polja. Proces projektovanja zasnovan na gejtovskim poljima je sličan onome koji se koristi za projektovanje zasnovano na standardnim ćelijama. Razlika je u tome što sada projektant za realizaciju svog kola na raspolaganju ima samo jedan tip logičkog kola. To podrazumeva da se sve logičke funkcije prisutne u projektu moraju transformisati oblik prilagođen realizaciji pomoću raspoloživog tipa logičkog kola. Na primer, razmotrimo kako bi smo u gejtovskom polju tro-ulaznih NAND kola realizovali funkcije sum i carry potpunog sabirača. Prvo, izrazi za funkcije sum i carry moraju biti konvertovane u formu koja će omogućiti realizaciju pomoću tro-ulaznih NAND kola. Do ove formi se može doći primenom De-Morganove teoreme, na sledeći način: c i+1 = x i y i +y i c i +x i c i = ((x i y i ) (y i c i ) (x i c i ) ) i s i = x i y i c i +x i y i c i +x i y i c i +x i y i c i = (x i y i c i +x i y i c i +x i y i c i )+x i y i c i = ((x i y i c i +x i y i c i +x i y i c i ) (x i y i c i ) ) =((((x i y i c i ) (x i y i c i ) (x i y i c i ) ) ) (x i y i c i ) ) Realizacija potpunog sabirača u gejtovskom polju NAND tipa prikazana je na Sl. 1-22(b). Uočimo da je funkcija carry realizovana pomoću 4 gejta, što predstavlja minimalni broj gejtova koji je potreban za realizaciju ove funkcije. Sa druge strane funkcija sum zahteva sedam gejtova, pošto četvoro-ulazno NAND kolo zahteva tri gejta kada se realizuje pomoću tro-ulaznih NAND gejtova. Uočimo da su u strukturi sa Sl. 1-22(b) dva od ukupno 16 gejtova ostala neiskorišćena. Treba napomenuti da je kod tipičnog projekta procenat iskorišćenosti raspoloživih gejtova obično značajno niži. 1.2 Metodologije projektovanja Napredak VLSI tehnologije omogućio je proizvodnju integrisanih kola sa nekoliko desetina miliona tranzistora. S obzirom da je manuelno projektovanje u toj meri složenih integrisanih kola praktično nemoguće, napredak VLSI tehnologije uslovio je takođe i pojavu industrije CAD 1 alata, koja se bavi razvojem softverskih sredstava i alata za podršku projektovanju integrisanih kola. Kao rezultat toga, mnoge standardne tehnike digitalnog projektovanja danas su ugrađene u CAD alate. Rasterećen projektovanja na niskom nivou, projektant može slobodno da se usredsredi na proces projektovanja u celini, počev od specifikacije zahteva do fabrikacije konkretnog proizvoda. Međutim, sa tako širokim fokusom, savremeni projektanti su u poziciji da moraju vladati različitim tehnikama kao što su tehnike za analizu i sprecifikaciju zahteva, modelovanje dizajna, softver-hardver kodizajn, sintezu, verifikaciju, simulciju i testiranje mikročipova. U tom smislu, definicija pojma stručnjak za projektovanje digitalnih kola i sistema je promenjena; to više nije ekspert za jednu konkretnu tehniku projektovanja, već neko ko poznaje principe projektovanja i koristi CAD alate u cilju istraživanja alternativnih rešenja i implementacionih tehnika. S obzirom da istrživanje alternativa može biti obavljeno na različite načine, neophodno je pažljivo izabrati efikasnu metodologiju projektovanja, koja određuje odgovarajući podskup 1 CAD(Computer Aided Design) projektovanje uz pomoć računara 19

GUI Layout Manager-i. Bojan Tomić Branislav Vidojević

GUI Layout Manager-i. Bojan Tomić Branislav Vidojević GUI Layout Manager-i Bojan Tomić Branislav Vidojević Layout Manager-i ContentPane Centralni deo prozora Na njega se dodaju ostale komponente (dugmići, polja za unos...) To je objekat klase javax.swing.jpanel

More information

Biznis scenario: sekcije pk * id_sekcije * naziv. projekti pk * id_projekta * naziv ꓳ profesor fk * id_sekcije

Biznis scenario: sekcije pk * id_sekcije * naziv. projekti pk * id_projekta * naziv ꓳ profesor fk * id_sekcije Biznis scenario: U školi postoje četiri sekcije sportska, dramska, likovna i novinarska. Svaka sekcija ima nekoliko aktuelnih projekata. Likovna ima četiri projekta. Za projekte Pikaso, Rubens i Rembrant

More information

SIMPLE PAST TENSE (prosto prošlo vreme) Građenje prostog prošlog vremena zavisi od toga da li je glagol koji ga gradi pravilan ili nepravilan.

SIMPLE PAST TENSE (prosto prošlo vreme) Građenje prostog prošlog vremena zavisi od toga da li je glagol koji ga gradi pravilan ili nepravilan. SIMPLE PAST TENSE (prosto prošlo vreme) Građenje prostog prošlog vremena zavisi od toga da li je glagol koji ga gradi pravilan ili nepravilan. 1) Kod pravilnih glagola, prosto prošlo vreme se gradi tako

More information

Podešavanje za eduroam ios

Podešavanje za eduroam ios Copyright by AMRES Ovo uputstvo se odnosi na Apple mobilne uređaje: ipad, iphone, ipod Touch. Konfiguracija podrazumeva podešavanja koja se vrše na računaru i podešavanja na mobilnom uređaju. Podešavanja

More information

Ulazne promenljive se nazivaju argumenti ili fiktivni parametri. Potprogram se poziva u okviru programa, kada se pri pozivu navode stvarni parametri.

Ulazne promenljive se nazivaju argumenti ili fiktivni parametri. Potprogram se poziva u okviru programa, kada se pri pozivu navode stvarni parametri. Potprogrami su delovi programa. Često se delovi koda ponavljaju u okviru nekog programa. Logično je da se ta grupa komandi izdvoji u potprogram, i da se po želji poziva u okviru programa tamo gde je potrebno.

More information

IZDAVANJE SERTIFIKATA NA WINDOWS 10 PLATFORMI

IZDAVANJE SERTIFIKATA NA WINDOWS 10 PLATFORMI IZDAVANJE SERTIFIKATA NA WINDOWS 10 PLATFORMI Za pomoć oko izdavanja sertifikata na Windows 10 operativnom sistemu možete se obratiti na e-mejl adresu esupport@eurobank.rs ili pozivom na telefonski broj

More information

KAPACITET USB GB. Laserska gravura. po jednoj strani. Digitalna štampa, pun kolor, po jednoj strani USB GB 8 GB 16 GB.

KAPACITET USB GB. Laserska gravura. po jednoj strani. Digitalna štampa, pun kolor, po jednoj strani USB GB 8 GB 16 GB. 9.72 8.24 6.75 6.55 6.13 po 9.30 7.89 5.86 10.48 8.89 7.30 7.06 6.61 11.51 9.75 8.00 7.75 7.25 po 0.38 10.21 8.66 7.11 6.89 6.44 11.40 9.66 9.73 7.69 7.19 12.43 1 8.38 7.83 po 0.55 0.48 0.37 11.76 9.98

More information

AMRES eduroam update, CAT alat za kreiranje instalera za korisničke uređaje. Marko Eremija Sastanak administratora, Beograd,

AMRES eduroam update, CAT alat za kreiranje instalera za korisničke uređaje. Marko Eremija Sastanak administratora, Beograd, AMRES eduroam update, CAT alat za kreiranje instalera za korisničke uređaje Marko Eremija Sastanak administratora, Beograd, 12.12.2013. Sadržaj eduroam - uvod AMRES eduroam statistika Novine u okviru eduroam

More information

Eduroam O Eduroam servisu edu roam Uputstvo za podešavanje Eduroam konekcije NAPOMENA: Microsoft Windows XP Change advanced settings

Eduroam O Eduroam servisu edu roam Uputstvo za podešavanje Eduroam konekcije NAPOMENA: Microsoft Windows XP Change advanced settings Eduroam O Eduroam servisu Eduroam - educational roaming je besplatan servis za pristup Internetu. Svojim korisnicima omogućava bezbedan, brz i jednostavan pristup Internetu širom sveta, bez potrebe za

More information

TRAJANJE AKCIJE ILI PRETHODNOG ISTEKA ZALIHA ZELENI ALAT

TRAJANJE AKCIJE ILI PRETHODNOG ISTEKA ZALIHA ZELENI ALAT TRAJANJE AKCIJE 16.01.2019-28.02.2019 ILI PRETHODNOG ISTEKA ZALIHA ZELENI ALAT Akcija sa poklonima Digitally signed by pki, pki, BOSCH, EMEA, BOSCH, EMEA, R, A, radivoje.stevanovic R, A, 2019.01.15 11:41:02

More information

POSEBNA POGLAVLJA INDUSTRIJSKOG TRANSPORTA I SKLADIŠNIH SISTEMA

POSEBNA POGLAVLJA INDUSTRIJSKOG TRANSPORTA I SKLADIŠNIH SISTEMA Master akademske studije Modul za logistiku 1 (MLO1) POSEBNA POGLAVLJA INDUSTRIJSKOG TRANSPORTA I SKLADIŠNIH SISTEMA angažovani su: 1. Prof. dr Momčilo Miljuš, dipl.inž., kab 303, mmiljus@sf.bg.ac.rs,

More information

Port Community System

Port Community System Port Community System Konferencija o jedinstvenom pomorskom sučelju i digitalizaciji u pomorskom prometu 17. Siječanj 2018. godine, Zagreb Darko Plećaš Voditelj Odsjeka IS-a 1 Sadržaj Razvoj lokalnog PCS

More information

STRUČNA PRAKSA B-PRO TEMA 13

STRUČNA PRAKSA B-PRO TEMA 13 MAŠINSKI FAKULTET U BEOGRADU Katedra za proizvodno mašinstvo STRUČNA PRAKSA B-PRO TEMA 13 MONTAŽA I SISTEM KVALITETA MONTAŽA Kratak opis montže i ispitivanja gotovog proizvoda. Dati izgled i sadržaj tehnološkog

More information

Kontrolna logika za praćenje i prikaz rezultata teniskog meča

Kontrolna logika za praćenje i prikaz rezultata teniskog meča Kontrolna logika za praćenje i prikaz rezultata teniskog meča Sandra Ilijin, Predrag Petković Најбољи рад младог истраживача на секцији EL Apstrakt U ovom radu predloženo je jedno rešenje kontrolne logike

More information

Uvod u relacione baze podataka

Uvod u relacione baze podataka Uvod u relacione baze podataka 25. novembar 2011. godine 7. čas SQL skalarne funkcije, operatori ANY (SOME) i ALL 1. Za svakog studenta izdvojiti ime i prezime i broj različitih ispita koje je pao (ako

More information

Struktura indeksa: B-stablo. ls/swd/btree/btree.html

Struktura indeksa: B-stablo.   ls/swd/btree/btree.html Struktura indeksa: B-stablo http://cis.stvincent.edu/html/tutoria ls/swd/btree/btree.html Uvod ISAM (Index-Sequential Access Method, IBM sredina 60-tih godina 20. veka) Nedostaci: sekvencijalno pretraživanje

More information

CJENOVNIK KABLOVSKA TV DIGITALNA TV INTERNET USLUGE

CJENOVNIK KABLOVSKA TV DIGITALNA TV INTERNET USLUGE CJENOVNIK KABLOVSKA TV Za zasnivanje pretplatničkog odnosa za korištenje usluga kablovske televizije potrebno je da je tehnički izvodljivo (mogude) priključenje na mrežu Kablovskih televizija HS i HKBnet

More information

PROJEKTNI PRORAČUN 1

PROJEKTNI PRORAČUN 1 PROJEKTNI PRORAČUN 1 Programski period 2014. 2020. Kategorije troškova Pojednostavlj ene opcije troškova (flat rate, lump sum) Radni paketi Pripremni troškovi, troškovi zatvaranja projekta Stope financiranja

More information

POKAZNA VEŽBA 1 Osnovi projektovanja digitalnih sistema na nivou logičkih kola

POKAZNA VEŽBA 1 Osnovi projektovanja digitalnih sistema na nivou logičkih kola POKAZNA VEŽBA 1 Osnovi projektovanja digitalnih sistema na nivou logičkih kola Potrebno predznanje Osnovno poznavanje digitalne elektronike Bulova (Boolean) algebra Šta će biti naučeno tokom izrade vežbe?

More information

CJENIK APLIKACIJE CERAMIC PRO PROIZVODA STAKLO PLASTIKA AUTO LAK KOŽA I TEKSTIL ALU FELGE SVJETLA

CJENIK APLIKACIJE CERAMIC PRO PROIZVODA STAKLO PLASTIKA AUTO LAK KOŽA I TEKSTIL ALU FELGE SVJETLA KOŽA I TEKSTIL ALU FELGE CJENIK APLIKACIJE CERAMIC PRO PROIZVODA Radovi prije aplikacije: Prije nanošenja Ceramic Pro premaza površina vozila na koju se nanosi mora bi dovedena u korektno stanje. Proces

More information

KONFIGURACIJA MODEMA. ZyXEL Prestige 660RU

KONFIGURACIJA MODEMA. ZyXEL Prestige 660RU KONFIGURACIJA MODEMA ZyXEL Prestige 660RU Sadržaj Funkcionalnost lampica... 3 Priključci na stražnjoj strani modema... 4 Proces konfiguracije... 5 Vraćanje modema na tvorničke postavke... 5 Konfiguracija

More information

Sl.1.Razvojna ploča-interfejs

Sl.1.Razvojna ploča-interfejs Nastavna jedinica: Praktični primeri upravljanja pomoću računara Predmet: Tehničko i informatičko obrazovanje Razred: VIII Tip časa: Obrada,Vežba Obrazovni cilj/ishod: Upravljanje raznim uređajima pomoću

More information

PLAN RADA. 1. Počnimo sa primerom! 2. Kako i zašto? 3. Pejzaž višestruke upotrebe softvera 4. Frameworks 5. Proizvodne linije softvera 6.

PLAN RADA. 1. Počnimo sa primerom! 2. Kako i zašto? 3. Pejzaž višestruke upotrebe softvera 4. Frameworks 5. Proizvodne linije softvera 6. KOREKTAN PREVOD? - Reupotrebljiv softver? ( ne postoji prefiks RE u srpskom jeziku ) - Ponovo upotrebljiv softver? ( totalno bezveze ) - Upotrebljiv više puta? - Itd. PLAN RADA 1. Počnimo sa primerom!

More information

BENCHMARKING HOSTELA

BENCHMARKING HOSTELA BENCHMARKING HOSTELA IZVJEŠTAJ ZA SVIBANJ. BENCHMARKING HOSTELA 1. DEFINIRANJE UZORKA Tablica 1. Struktura uzorka 1 BROJ HOSTELA BROJ KREVETA Ukupno 1016 643 1971 Regije Istra 2 227 Kvarner 4 5 245 991

More information

Otpremanje video snimka na YouTube

Otpremanje video snimka na YouTube Otpremanje video snimka na YouTube Korak br. 1 priprema snimka za otpremanje Da biste mogli da otpremite video snimak na YouTube, potrebno je da imate kreiran nalog na gmailu i da video snimak bude u nekom

More information

ENR 1.4 OPIS I KLASIFIKACIJA VAZDUŠNOG PROSTORA U KOME SE PRUŽAJU ATS USLUGE ENR 1.4 ATS AIRSPACE CLASSIFICATION AND DESCRIPTION

ENR 1.4 OPIS I KLASIFIKACIJA VAZDUŠNOG PROSTORA U KOME SE PRUŽAJU ATS USLUGE ENR 1.4 ATS AIRSPACE CLASSIFICATION AND DESCRIPTION VFR AIP Srbija / Crna Gora ENR 1.4 1 ENR 1.4 OPIS I KLASIFIKACIJA VAZDUŠNOG PROSTORA U KOME SE PRUŽAJU ATS USLUGE ENR 1.4 ATS AIRSPACE CLASSIFICATION AND DESCRIPTION 1. KLASIFIKACIJA VAZDUŠNOG PROSTORA

More information

FAKULTET TEHNIČKIH NAUKA

FAKULTET TEHNIČKIH NAUKA UNIVERZITET U NOVOM SADU FAKULTET TEHNIČKIH NAUKA Nastavni predmet: Vežba br 6: Automatizacija projektovanja tehnoloških procesa izrade alata za brizganje plastike primenom ekspertnih sistema Doc. dr Dejan

More information

Tema 2: Uvod u sisteme za podršku odlučivanju (VEŽBE)

Tema 2: Uvod u sisteme za podršku odlučivanju (VEŽBE) Tema 2: Uvod u sisteme za podršku odlučivanju (VEŽBE) SISTEMI ZA PODRŠKU ODLUČIVANJU dr Vladislav Miškovic vmiskovic@singidunum.ac.rs Fakultet za računarstvo i informatiku 2013/2014 Tema 2: Uvod u sisteme

More information

TRENING I RAZVOJ VEŽBE 4 JELENA ANĐELKOVIĆ LABROVIĆ

TRENING I RAZVOJ VEŽBE 4 JELENA ANĐELKOVIĆ LABROVIĆ TRENING I RAZVOJ VEŽBE 4 JELENA ANĐELKOVIĆ LABROVIĆ DIZAJN TRENINGA Model trening procesa FAZA DIZAJNA CILJEVI TRENINGA Vrste ciljeva treninga 1. Ciljevi učesnika u treningu 2. Ciljevi učenja Opisuju željene

More information

Bušilice nove generacije. ImpactDrill

Bušilice nove generacije. ImpactDrill NOVITET Bušilice nove generacije ImpactDrill Nove udarne bušilice od Bosch-a EasyImpact 550 EasyImpact 570 UniversalImpact 700 UniversalImpact 800 AdvancedImpact 900 Dostupna od 01.05.2017 2 Logika iza

More information

Advertising on the Web

Advertising on the Web Advertising on the Web On-line algoritmi Off-line algoritam: ulazni podaci su dostupni na početku, algoritam može pristupati podacima u bilo kom redosljedu, na kraju se saopštava rezultat obrade On-line

More information

Automatske Maske za zavarivanje. Stella, black carbon. chain and skull. clown. blue carbon

Automatske Maske za zavarivanje. Stella, black carbon. chain and skull. clown. blue carbon Automatske Maske za zavarivanje Stella Podešavanje DIN: 9-13 Brzina senzora: 1/30.000s Vidno polje : 98x55mm Četiri optička senzora Napajanje : Solarne ćelije + dve litijumske neizmenjive baterije. Vek

More information

GIGABIT PASSIVE OPTICAL NETWORK

GIGABIT PASSIVE OPTICAL NETWORK GIGABIT PASSIVE OPTICAL NETWORK O NAMA Ključni element savremenih sistema za isporuku sadržaja putem Interneta (Data, Voice, Video) je interakcija sa krajnjim korisnikom. Iza nas je vreme kada je svaki

More information

Diplomski rad Projektovanje integrisanog taksimetra primenom ADK alata

Diplomski rad Projektovanje integrisanog taksimetra primenom ADK alata Elektronski Fakultet u Nišu Katedra za Elektroniku Diplomski rad Projektovanje integrisanog taksimetra primenom ADK alata Zadatak: Projektovati integrisano kolo specifične namene pogodno za tarifiranje

More information

SAS On Demand. Video: Upute za registraciju:

SAS On Demand. Video:  Upute za registraciju: SAS On Demand Video: http://www.sas.com/apps/webnet/video-sharing.html?bcid=3794695462001 Upute za registraciju: 1. Registracija na stranici: https://odamid.oda.sas.com/sasodaregistration/index.html U

More information

RAZVOJ NGA MREŽA U CRNOJ GORI

RAZVOJ NGA MREŽA U CRNOJ GORI RAZVOJ NGA MREŽA U CRNOJ GORI INFOFEST 2017 SLJEDEĆA GENERACIJA REGULACIJE, 25 26 Septembar 2017 Budva, Crna Gora Vitomir Dragaš, Manadžer za interkonekciju i sisteme prenosa Sadržaj 2 Digitalna transformacija

More information

STRUKTURNO KABLIRANJE

STRUKTURNO KABLIRANJE STRUKTURNO KABLIRANJE Sistematski pristup kabliranju Kreiranje hijerarhijski organizirane kabelske infrastrukture Za strukturno kabliranje potrebno je ispuniti: Generalnost ožičenja Zasidenost radnog područja

More information

Idejno rješenje: Dubrovnik Vizualni identitet kandidature Dubrovnika za Europsku prijestolnicu kulture 2020.

Idejno rješenje: Dubrovnik Vizualni identitet kandidature Dubrovnika za Europsku prijestolnicu kulture 2020. Idejno rješenje: Dubrovnik 2020. Vizualni identitet kandidature Dubrovnika za Europsku prijestolnicu kulture 2020. vizualni identitet kandidature dubrovnika za europsku prijestolnicu kulture 2020. visual

More information

ELEMENTI ELEKTRONIKE digitalna kola

ELEMENTI ELEKTRONIKE digitalna kola Univerzitet u Beogradu Elektrotehnički fakultet Dr Vujo Drndarević ELEMENTI ELEKTRONIKE digitalna kola Beograd, 26. Prof. dr Vujo Drndarević ELEMENTI ELEKTRONIKE digitalna kola Recenzenti Doc. dr Vladimir

More information

Nejednakosti s faktorijelima

Nejednakosti s faktorijelima Osječki matematički list 7007, 8 87 8 Nejedakosti s faktorijelima Ilija Ilišević Sažetak Opisae su tehike kako se mogu dokazati ejedakosti koje sadrže faktorijele Spomeute tehike su ilustrirae a izu zaimljivih

More information

1.7 Predstavljanje negativnih brojeva u binarnom sistemu

1.7 Predstavljanje negativnih brojeva u binarnom sistemu .7 Predstavljanje negativnih brojeva u binarnom sistemu U decimalnom brojnom sistemu pozitivni brojevi se predstavljaju znakom + napisanim ispred cifara koje definišu apsolutnu vrednost broja, odnosno

More information

STABLA ODLUČIVANJA. Jelena Jovanovic. Web:

STABLA ODLUČIVANJA. Jelena Jovanovic.   Web: STABLA ODLUČIVANJA Jelena Jovanovic Email: jeljov@gmail.com Web: http://jelenajovanovic.net 2 Zahvalnica: Ovi slajdovi su bazirani na materijalima pripremljenim za kurs Applied Modern Statistical Learning

More information

OBJEKTNO ORIJENTISANO PROGRAMIRANJE

OBJEKTNO ORIJENTISANO PROGRAMIRANJE OBJEKTNO ORIJENTISANO PROGRAMIRANJE PREDAVANJE 3 DEFINICIJA KLASE U JAVI Miloš Kovačević Đorđe Nedeljković 1 /18 OSNOVNI KONCEPTI - Polja - Konstruktori - Metode - Parametri - Povratne vrednosti - Dodela

More information

Mindomo online aplikacija za izradu umnih mapa

Mindomo online aplikacija za izradu umnih mapa Mindomo online aplikacija za izradu umnih mapa Mindomo je online aplikacija za izradu umnih mapa (vrsta dijagrama specifične forme koji prikazuje ideje ili razmišljanja na svojevrstan način) koja omogućuje

More information

NIS PETROL. Uputstvo za deaktiviranje/aktiviranje stranice Veleprodajnog cenovnika na sajtu NIS Petrol-a

NIS PETROL. Uputstvo za deaktiviranje/aktiviranje stranice Veleprodajnog cenovnika na sajtu NIS Petrol-a NIS PETROL Uputstvo za deaktiviranje/aktiviranje stranice Veleprodajnog cenovnika na sajtu NIS Petrol-a Beograd, 2018. Copyright Belit Sadržaj Disable... 2 Komentar na PHP kod... 4 Prava pristupa... 6

More information

IZRADA TEHNIČKE DOKUMENTACIJE

IZRADA TEHNIČKE DOKUMENTACIJE 1 Zaglavlje (JUS M.A0.040) Šta je zaglavlje? - Posebno uokvireni deo koji služi za upisivanje podataka potrebnih za označavanje, razvrstavanje i upotrebu crteža Mesto zaglavlja: donji desni ugao raspoložive

More information

Mogudnosti za prilagođavanje

Mogudnosti za prilagođavanje Mogudnosti za prilagođavanje Shaun Martin World Wildlife Fund, Inc. 2012 All rights reserved. Mogudnosti za prilagođavanje Za koje ste primere aktivnosti prilagođavanja čuli, pročitali, ili iskusili? Mogudnosti

More information

INSTALIRANJE SOFTVERSKOG SISTEMA SURVEY

INSTALIRANJE SOFTVERSKOG SISTEMA SURVEY INSTALIRANJE SOFTVERSKOG SISTEMA SURVEY Softverski sistem Survey za geodeziju, digitalnu topografiju i projektovanje u niskogradnji instalira se na sledeći način: 1. Instalirati grafičko okruženje pod

More information

VBA moduli. mr Milovan Milivojević dipl. ing. Visa Poslovno Tehnička Škola - Užice

VBA moduli. mr Milovan Milivojević dipl. ing. Visa Poslovno Tehnička Škola - Užice VBA moduli mr Milovan Milivojević dipl. ing. Visa Poslovno Tehnička Škola - Užice Moduli (modules) ponašanje modula Ponašanje modula može se prilagoditi na 4 načina: Option Explicit Option Private Module

More information

Rešavanje problema pomoću računara

Rešavanje problema pomoću računara Rešavanje problema pomoću računara Vladimir Filipović vladaf@matf.bg.ac.rs Softversko inženjerstvo Šta podrazumevamo pod softverskim inženjerstvom? vladaf@matf.bg.ac.rs 2/16 Konstrukcija prevodilaca Prevođenje

More information

1. Instalacija programske podrške

1. Instalacija programske podrške U ovom dokumentu opisana je instalacija PBZ USB PKI uređaja na računala korisnika PBZCOM@NET internetskog bankarstva. Uputa je podijeljena na sljedeće cjeline: 1. Instalacija programske podrške 2. Promjena

More information

ДИПЛОМСКИ МАСТЕР РАД

ДИПЛОМСКИ МАСТЕР РАД УНИВЕРЗИТЕТ У НОВОМ САДУ ФАКУЛТЕТ ТЕХНИЧКИХ НАУКА УНИВЕРЗИТЕТ У НОВОМ САДУ ФАКУЛТЕТ ТЕХНИЧКИХ НАУКА НОВИ САД Департман за енергетику, електронику и телекомуникације Усмерење за микрорачунарску електронику

More information

UNIVERZITET U BEOGRADU RUDARSKO GEOLOŠKI FAKULTET DEPARTMAN ZA HIDROGEOLOGIJU ZBORNIK RADOVA. ZLATIBOR maj godine

UNIVERZITET U BEOGRADU RUDARSKO GEOLOŠKI FAKULTET DEPARTMAN ZA HIDROGEOLOGIJU ZBORNIK RADOVA. ZLATIBOR maj godine UNIVERZITETUBEOGRADU RUDARSKOGEOLOŠKIFAKULTET DEPARTMANZAHIDROGEOLOGIJU ZBORNIKRADOVA ZLATIBOR 1720.maj2012.godine XIVSRPSKISIMPOZIJUMOHIDROGEOLOGIJI ZBORNIKRADOVA IZDAVA: ZAIZDAVAA: TEHNIKIUREDNICI: TIRAŽ:

More information

TEHNIČKO (TEHNOLOŠKO) OBRAZOVANJE U SRBIJI

TEHNIČKO (TEHNOLOŠKO) OBRAZOVANJE U SRBIJI TEHNIČKO (TEHNOLOŠKO) OBRAZOVANJE U SRBIJI Konferencija 32000 Čačak 13-16. April 2006. UDK: 621.398 Stručni rad IZBOR KABLIRANJA AUDIO VIDEO SISTEMA Vladimir Mladenović 1, Uroš Jakšić 2 Rezime: Na pojedinim

More information

Priprema podataka. NIKOLA MILIKIĆ URL:

Priprema podataka. NIKOLA MILIKIĆ   URL: Priprema podataka NIKOLA MILIKIĆ EMAIL: nikola.milikic@fon.bg.ac.rs URL: http://nikola.milikic.info Normalizacija Normalizacija je svođenje vrednosti na neki opseg (obično 0-1) FishersIrisDataset.arff

More information

TEHNO SISTEM d.o.o. PRODUCT CATALOGUE KATALOG PROIZVODA TOPLOSKUPLJAJUĆI KABLOVSKI PRIBOR HEAT-SHRINKABLE CABLE ACCESSORIES

TEHNO SISTEM d.o.o. PRODUCT CATALOGUE KATALOG PROIZVODA TOPLOSKUPLJAJUĆI KABLOVSKI PRIBOR HEAT-SHRINKABLE CABLE ACCESSORIES TOPOSKUPJAJUĆI KABOVSKI PRIBOR HEAT-SHRINKABE CABE ACCESSORIES KATAOG PROIZVODA PRODUCT CATAOGUE 8 TEHNO SISTEM d.o.o. NISKONAPONSKI TOPOSKUPJAJUĆI KABOVSKI PRIBOR TOPOSKUPJAJUĆE KABOVSKE SPOJNICE kv OW

More information

DEFINISANJE TURISTIČKE TRAŽNJE

DEFINISANJE TURISTIČKE TRAŽNJE DEFINISANJE TURISTIČKE TRAŽNJE Tražnja se može definisati kao spremnost kupaca da pri različitom nivou cena kupuju različite količine jedne robe na određenom tržištu i u određenom vremenu (Veselinović

More information

Tutorijal za Štefice za upload slika na forum.

Tutorijal za Štefice za upload slika na forum. Tutorijal za Štefice za upload slika na forum. Postoje dvije jednostavne metode za upload slika na forum. Prva metoda: Otvoriti nova tema ili odgovori ili citiraj već prema želji. U donjem dijelu obrasca

More information

1. MODEL (Ulaz / Zadržavanje / Stanje)

1. MODEL (Ulaz / Zadržavanje / Stanje) 1. MODEL (Ulaz / Zadržavanje / Stanje) Potrebno je kreirati model koji će preslikavati sledeći realan sistem: Svaki dan dolazi određen broj paleta u skladište Broj paleta na nivou dana se može opisati

More information

Upute za korištenje makronaredbi gml2dwg i gml2dgn

Upute za korištenje makronaredbi gml2dwg i gml2dgn SVEUČILIŠTE U ZAGREBU - GEODETSKI FAKULTET UNIVERSITY OF ZAGREB - FACULTY OF GEODESY Zavod za primijenjenu geodeziju; Katedra za upravljanje prostornim informacijama Institute of Applied Geodesy; Chair

More information

IMPLEMENTACIJA PODLOGE ZA SARADNJU KROKI ALATA SA ALATIMA ZA UML MODELOVANJE OPŠTE NAMENE

IMPLEMENTACIJA PODLOGE ZA SARADNJU KROKI ALATA SA ALATIMA ZA UML MODELOVANJE OPŠTE NAMENE IMPLEMENTACIJA PODLOGE ZA SARADNJU KROKI ALATA SA ALATIMA ZA UML MODELOVANJE OPŠTE NAMENE IMPLEMENTATION OF BASIS FOR COOPERATION BETWEEN KROKI TOOL AND UML MODELING TOOLS Željko Ivković, Renata Vaderna,

More information

3D GRAFIKA I ANIMACIJA

3D GRAFIKA I ANIMACIJA 1 3D GRAFIKA I ANIMACIJA Uvod u Flash CS3 Šta će se raditi? 2 Upoznavanje interfejsa Osnovne osobine Definisanje osnovnih entiteta Rad sa bojama Rad sa linijama Definisanje i podešavanje ispuna Pregled

More information

Alati za projektovanje

Alati za projektovanje Univerzitet u Nišu Elektronski fakultet Seminarski rad Alati za projektovanje - upotreba alata za projektovanje na konkretnim primerima - Goran Mišić, 12103 Niš, 24. 03. 2008. 1 Uvod Savremena elektronska

More information

СТРУКТУРА СТАНДАРДА СИСТЕМАМЕНАЏМЕНТАКВАЛИТЕТОМ

СТРУКТУРА СТАНДАРДА СИСТЕМАМЕНАЏМЕНТАКВАЛИТЕТОМ 1 СТРУКТУРА СТАНДАРДА СИСТЕМАМЕНАЏМЕНТАКВАЛИТЕТОМ 2 ПРИНЦИПИ МЕНАЏМЕНТА КВАЛИТЕТОМ 3 ПРИНЦИПИ МЕНАЏМЕНТА КВАЛИТЕТОМ 4 ПРИНЦИПИ МЕНАЏМЕНТА КВАЛИТЕТОМ Edwards Deming Не морате то чинити, преживљавање фирми

More information

MRS MRSLab08 Metodologija Razvoja Softvera Vežba 08

MRS MRSLab08 Metodologija Razvoja Softvera Vežba 08 MRS MRSLab08 Metodologija Razvoja Softvera Vežba 08 LAB 08 Konceptualni model podataka Logički model podataka 1. Konceptualni model podataka Modeli podataka omogućavaju modelovanje semantičke i logičke

More information

TEHNIĈKO VELEUĈILIŠTE U ZAGREBU ELEKTROTEHNIĈKI ODJEL Prof.dr.sc.KREŠIMIR MEŠTROVIĆ POUZDANOST VISOKONAPONSKIH PREKIDAĈA

TEHNIĈKO VELEUĈILIŠTE U ZAGREBU ELEKTROTEHNIĈKI ODJEL Prof.dr.sc.KREŠIMIR MEŠTROVIĆ POUZDANOST VISOKONAPONSKIH PREKIDAĈA TEHNIĈKO VELEUĈILIŠTE U ZAGREBU ELEKTROTEHNIĈKI ODJEL Prof.dr.sc.KREŠIMIR MEŠTROVIĆ POUZDANOST VISOKONAPONSKIH PREKIDAĈA SF6 PREKIDAĈ 420 kv PREKIDNA KOMORA POTPORNI IZOLATORI POGONSKI MEHANIZAM UPRAVLJAĈKI

More information

MRS. MRSLab03 Metodologija Razvoja Softvera Vežba 03 LAB Dijagram aktivnosti

MRS. MRSLab03 Metodologija Razvoja Softvera Vežba 03 LAB Dijagram aktivnosti MRS LAB 03 MRSLab03 Metodologija Razvoja Softvera Vežba 03 Dijagrami aktivnosti 1. Dijagram aktivnosti Dijagram aktivnosti je UML dijagram koji modeluje dinamičke aspekte sistema. On predstavlja pojednostavljenje

More information

za STB GO4TV in alliance with GSS media

za STB GO4TV in alliance with GSS media za STB Dugme za uključivanje i isključivanje STB uređaja Browser Glavni meni Osnovni meni Vrsta liste kanala / omiljeni kanali / kraći meni / organizacija kanala / ponovno pokretanje uređaja / ponovno

More information

UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKUTET PRIMJENA FPGA TEHNOLOGIJE U OBRADI SLIKE

UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKUTET PRIMJENA FPGA TEHNOLOGIJE U OBRADI SLIKE UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKUTET PRIMJENA FPGA TEHNOLOGIJE U OBRADI SLIKE - Diplomski rad - Kandidat: Milena Zogović Podgorica, jul 2006. godine UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKUTET

More information

Odreñivanje troškova proizvodnje primenom PBC metode

Odreñivanje troškova proizvodnje primenom PBC metode Odreñivanje troškova proizvodnje primenom PBC metode VLADIMIR V. TODIĆ, Univerzitet u Novom Sadu, Stručni rad Fakultet tehničkih nauka, Novi Sad UDC: 657.474.5 Osnovne karakteristike savremenog tržišta

More information

DC MILIAMPERSKA MERNA KLJESTA,Procesna merna kljesta KEW KYORITSU ELECTRICAL INSTRUMENTS WORKS, LTD. All rights reserved.

DC MILIAMPERSKA MERNA KLJESTA,Procesna merna kljesta KEW KYORITSU ELECTRICAL INSTRUMENTS WORKS, LTD. All rights reserved. DC MILIAMPERSKA MERNA KLJESTA,Procesna merna kljesta KEW 2500 KYORITSU ELECTRICAL INSTRUMENTS WORKS,LTD Funkcije DC Miliamperska Procesna merna kljesta Kew2500 Za merenja nivoa signala (od 4 do 20mA) bez

More information

Dr.Miroljub Banković, prof. Kragujevac, 2008.

Dr.Miroljub Banković, prof. Kragujevac, 2008. VISOKA TEHNIČKA ŠKOLA STRUKOVNIH STUDIJA KRAGUJEVAC Skripta iz predmeta PROJEKTOVANJE INFORMACIONIH SISTEMA Dr.Miroljub Banković, prof. Kragujevac, 2008. SADRŽAJ OSNOVI TEORIJE SISTEMA... 3 DEFINICIJE

More information

- Vežba 1 (dodatan materijal) - Kreiranje Web šablona (template) pomoću softvera Adobe Photoshop CS

- Vežba 1 (dodatan materijal) - Kreiranje Web šablona (template) pomoću softvera Adobe Photoshop CS - Vežba 1 (dodatan materijal) - Kreiranje Web šablona (template) pomoću softvera Adobe Photoshop CS 1. Pokrenite Adobe Photoshop CS i otvorite novi dokument sa komandom File / New 2. Otvoriće se dijalog

More information

WWF. Jahorina

WWF. Jahorina WWF For an introduction Jahorina 23.2.2009 What WWF is World Wide Fund for Nature (formerly World Wildlife Fund) In the US still World Wildlife Fund The World s leading independent conservation organisation

More information

Ali kako znati koja maksimalna plata pripada kojem sektoru? GROUP BY in SELECT Obično se uključuje GROUP BY kolona u SELECT listi.

Ali kako znati koja maksimalna plata pripada kojem sektoru? GROUP BY in SELECT Obično se uključuje GROUP BY kolona u SELECT listi. Database Programming with SQL kurs 2017 database design and programming with sql students slajdovi 9-1 Using Group By Having Clauses Za dobijanje srednje visine studenata: SELECT AVG(height) FROM students;

More information

Univerzitet u Novom Sadu. Fakultet tehničkih nauka. Odsek za računarsku tehniku i računarske komunikacije. Uvod u GIT

Univerzitet u Novom Sadu. Fakultet tehničkih nauka. Odsek za računarsku tehniku i računarske komunikacije. Uvod u GIT Univerzitet u Novom Sadu Fakultet tehničkih nauka Odsek za računarsku tehniku i računarske komunikacije Uvod u GIT Šta je git? Sistem za verzionisanje softvera kao i CVS, SVN, Perforce ili ClearCase Orginalno

More information

Struktura i organizacija baza podataka

Struktura i organizacija baza podataka Fakultet tehničkih nauka, DRA, Novi Sad Predmet: Struktura i organizacija baza podataka Dr Slavica Aleksić, Milanka Bjelica, Nikola Obrenović Primer radnik({mbr, Ime, Prz, Sef, Plt, God, Pre}, {Mbr}),

More information

11 Analiza i dizajn informacionih sistema

11 Analiza i dizajn informacionih sistema 11 Analiza i dizajn informacionih sistema Informatika V.Prof.dr Kemal Hajdarević dipl.ing.el 25.4.2014 11:58:28 1 1. Kompjuter, Internet, i mrežne osnove 2. Kompjuterska industrija Informatika u stomatologiji

More information

RANI BOOKING TURSKA LJETO 2017

RANI BOOKING TURSKA LJETO 2017 PUTNIČKA AGENCIJA FIBULA AIR TRAVEL AGENCY D.O.O. UL. FERHADIJA 24; 71000 SARAJEVO; BIH TEL:033/232523; 033/570700; E-MAIL: INFO@FIBULA.BA; FIBULA@BIH.NET.BA; WEB: WWW.FIBULA.BA SUDSKI REGISTAR: UF/I-1769/02,

More information

Programiranje III razred

Programiranje III razred Tehnička škola 9. maj Bačka Palanka Programiranje III razred Istorijat programskih jezika Programski jezici Programski jezici su veštački jezici koji se mogu koristiti za kontrolu ponašanja mašine, naročito

More information

Trening: Obzor financijsko izvještavanje i osnovne ugovorne obveze

Trening: Obzor financijsko izvještavanje i osnovne ugovorne obveze Trening: Obzor 2020. - financijsko izvještavanje i osnovne ugovorne obveze Ana Ključarić, Obzor 2020. nacionalna osoba za kontakt za financijska pitanja PROGRAM DOGAĐANJA (9:30-15:00) 9:30 10:00 Registracija

More information

TEHNOLOGIJA, INFORMATIKA I OBRAZOVANJE ZA DRUŠTVO UČENJA I ZNANJA 6. Međunarodni Simpozijum, Tehnički fakultet Čačak, 3 5. jun 2011.

TEHNOLOGIJA, INFORMATIKA I OBRAZOVANJE ZA DRUŠTVO UČENJA I ZNANJA 6. Međunarodni Simpozijum, Tehnički fakultet Čačak, 3 5. jun 2011. TEHNOLOGIJA, INFORMATIKA I OBRAZOVANJE ZA DRUŠTVO UČENJA I ZNANJA 6. Međunarodni Simpozijum, Tehnički fakultet Čačak, 3 5. jun 2011. TECHNOLOGY, INFORMATICS AND EDUCATION FOR LEARNING AND KNOWLEDGE SOCIETY

More information

PROFOMETER 5+ lokator armature

PROFOMETER 5+ lokator armature PROFOMETER 5+ lokator armature Instrument za testiranje betona 5. generacije Melco Buda d.o.o. - kancelarija u Beogradu: Hadži Nikole Živkovića br.2 Poslovna zgrada Iskra komerc, kancelarija 15/ II sprat

More information

Klasterizacija. NIKOLA MILIKIĆ URL:

Klasterizacija. NIKOLA MILIKIĆ   URL: Klasterizacija NIKOLA MILIKIĆ EMAIL: nikola.milikic@fon.bg.ac.rs URL: http://nikola.milikic.info Klasterizacija Klasterizacija (eng. Clustering) spada u grupu tehnika nenadgledanog učenja i omogućava grupisanje

More information

Direktan link ka kursu:

Direktan link ka kursu: Alat Alice može da se preuzme sa sledeće adrese: www.alice.org Kratka video uputstva posvećena alatu Alice: https://youtu.be/eq120m-_4ua https://youtu.be/tkbucu71lfk Kurs (engleski) posvećen uvodu u Java

More information

FAKULTET ZA POSLOVNU INFORMATIKU

FAKULTET ZA POSLOVNU INFORMATIKU FAKULTET ZA POSLOVNU INFORMATIKU Prof. dr Mladen Veinović Igor Franc Aleksandar Jevremović BAZE PODATAKA - PRAKTIKUM - Prvo izdanje Beograd 2006. Autori: Prof. dr Mladen Veinović Igor Franc Aleksandar

More information

Windows Easy Transfer

Windows Easy Transfer čet, 2014-04-17 12:21 - Goran Šljivić U članku o skorom isteku Windows XP podrške [1] koja prestaje 8. travnja 2014. spomenuli smo PCmover Express i PCmover Professional kao rješenja za preseljenje korisničkih

More information

Dr Smiljan Vukanović, dis

Dr Smiljan Vukanović, dis NAPREDNI SISTEMI UPRAVLJANJA SAOBRAĆAJEM SVETLOSNIM SIGNALIMA SU DEO ITS-A. DA ILI NE? ADVANCED TRAFFIC SIGNAL CONTROL SYSTEMS ARE A PART OF ITS. YES OR NO? Dr Smiljan Vukanović, dis Rezultat rada na projektu

More information

Ciljevi. Poslije kompletiranja ove lekcije trebalo bi se moći:

Ciljevi. Poslije kompletiranja ove lekcije trebalo bi se moći: Pogledi Ciljevi Poslije kompletiranja ove lekcije trebalo bi se moći: Opisati pogled Formirati novi pogled Vratiti podatke putem pogleda Izmijeniti postojeći pogled Insertovani, ažurirati i brisati podatke

More information

STATISTIKA U OBLASTI KULTURE U BOSNI I HERCEGOVINI

STATISTIKA U OBLASTI KULTURE U BOSNI I HERCEGOVINI Bosna i Hercegovina Agencija za statistiku Bosne i Hercegovine Bosnia and Herzegovina Agency for Statistics of Bosnia and Herzegovina STATISTIKA U OBLASTI KULTURE U BOSNI I HERCEGOVINI Jahorina, 05.07.2011

More information

- je mreža koja služi za posluživanje prometa između centrala

- je mreža koja služi za posluživanje prometa između centrala Spojna mreža - je mreža koja služi za posluživanje prometa između centrala Zvjezdasti T - sve centrale na nekom području spajaju se na jednu od njih, koja onda dalje posreduje njihov promet - u manjim

More information

KAKO GA TVORIMO? Tvorimo ga tako, da glagol postavimo v preteklik (past simple): 1. GLAGOL BITI - WAS / WERE TRDILNA OBLIKA:

KAKO GA TVORIMO? Tvorimo ga tako, da glagol postavimo v preteklik (past simple): 1. GLAGOL BITI - WAS / WERE TRDILNA OBLIKA: Past simple uporabljamo, ko želimo opisati dogodke, ki so se zgodili v preteklosti. Dogodki so se zaključili v preteklosti in nič več ne trajajo. Dogodki so se zgodili enkrat in se ne ponavljajo, čas dogodkov

More information

CILJ UEFA PRO EDUKACIJE

CILJ UEFA PRO EDUKACIJE CILJ UEFA PRO EDUKACIJE Ciljevi programa UEFA PRO M s - Omogućiti trenerima potrebnu edukaciju, kako bi mogli uspešno raditi na PRO nivou. - Utvrdjenim programskim sadržajem, omogućiti im kredibilitet.

More information

Office 365, upute za korištenje elektroničke pošte

Office 365, upute za korištenje elektroničke pošte Office 365, upute za korištenje elektroničke pošte Naša ustanova koristi uslugu elektroničke pošte u oblaku, u sklopu usluge Office 365. To znači da elektronička pošta više nije pohranjena na našem serveru

More information

ISO-422/485 OPTIČKI IZOLOVAN KONVERTOR RS-232 U RS-422/485 V1.11

ISO-422/485 OPTIČKI IZOLOVAN KONVERTOR RS-232 U RS-422/485 V1.11 ISO-422/485 OPTIČKI IZOLOVAN KONVERTOR RS-232 U RS-422/485 V1.11 Beograd, 2010 Pročitajte pre nego što upotrebite ovaj uređaj: Za informacije date u ovom uputstvu se veruje da su tačne. Međutim, Electronic

More information

ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU

ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU IMPLEMENTACIJA ALGORITMA ZA DEBLOKADU VEZE U KLOSOVOM KOMUTATORU Diplomski rad Kandidat: Marko Vuković 2006/0094 Mentor: doc. dr Zoran Čiča Beograd, Oktobar

More information

MRS MRSLab09 Metodologija Razvoja Softvera Vežba 09

MRS MRSLab09 Metodologija Razvoja Softvera Vežba 09 MRS MRSLab09 Metodologija Razvoja Softvera Vežba 09 LAB 09 Fizički model podatka 1. Fizički model podataka Fizički model podataka omogućava da se definiše struktura baze podataka sa stanovišta fizičke

More information

ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU

ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU VERIFIKACIJA KORISNIČKOG KOMUNIKACIONOG PROTOKOLA PRIMENOM ERM METODOLOGIJE Master rad Kandidat: Stefanija Dačić 2012/3032 Mentor: doc. dr Zoran Čiča Beograd,

More information

KABUPLAST, AGROPLAST, AGROSIL 2500

KABUPLAST, AGROPLAST, AGROSIL 2500 KABUPLAST, AGROPLAST, AGROSIL 2500 kabuplast - dvoslojne rebraste cijevi iz polietilena visoke gustoće (PEHD) za kabelsku zaštitu - proizvedene u skladu sa ÖVE/ÖNORM EN 61386-24:2011 - stijenka izvana

More information

Korak X1 X2 X3 F O U R T W START {0,1}

Korak X1 X2 X3 F O U R T W START {0,1} 1) (8) Formulisati Traveling Salesman Problem (TSP) kao problem traženja. 2) (23) Dato je prostor stanja sa slike, sa početnim stanjem A i završnim stanjem Q. Broj na grani označava cijenu operatora, a

More information